Die Suche ergab 346 Treffer

von mfro
Mo Jan 06, 2020 1:06 pm
Forum: C / C++
Thema: C11 oder C18
Antworten: 7
Zugriffe: 5106

Re: C11 oder C18

Ich verstehe die Frage nicht. ^^ Worauf willst Du hinaus? Wahrscheinlich darauf, dass Multithreading auch ein neues C11-Feature ist. Das ist allerdings optional (d.h. ein Compiler muß es nicht implementieren, um C11 konform zu sein) und kann nichts, was (z.B) die pthreads-Libs nicht auch könnten.
von mfro
Fr Jan 03, 2020 4:01 pm
Forum: C / C++
Thema: C11 oder C18
Antworten: 7
Zugriffe: 5106

Re: C11 oder C18

Kamen mit C11 nicht neue Datentypen mit garantierter Breite und Konstrukte wie die Initialisierung einer lokalen Varible innerhalb von if und while? Das ist vielleicht kein Buch, aber es macht doch schon einen Unterschied, ob es in einem Buch drin steht oder nicht. :D Nee, das gab's alles in C99 sc...
von mfro
Fr Jan 03, 2020 8:17 am
Forum: C / C++
Thema: C11 oder C18
Antworten: 7
Zugriffe: 5106

Re: C11 oder C18

In C11 kam nicht wirklich viel Neues (anonyme structs und unions vielleicht als wichtigstes).
C18 ist praktisch nur die Reparatur der Fehler, die mit Einführung von C11 gemacht wurden.

Beides m.E. nichts, mit dem man ein Buch füllen könnte oder müsste.
von mfro
Di Dez 10, 2019 8:37 pm
Forum: C / C++
Thema: C Tutorial – Fortgeschrittenes – Feedback
Antworten: 6
Zugriffe: 4958

Re: C Tutorial – Fortgeschrittenes – Feedback

Xeon: Ich weiß nicht was du dazu meinst Xin, aber bei Windows kann man einen Pfand nun auch nur mit diesem Zeichen ‚/‘ öffnen statt mit ‚\\‘ . Unter Windows ist der Verzeichnistrenner der Backslash und der wird unter C als Einleitung einer Escapesequenz genutzt. Um also den \ hinzubekommen, musst D...
von mfro
Fr Nov 29, 2019 5:42 pm
Forum: C / C++
Thema: Was ist der Unterschied zwischen zuweisung und instialisierung?
Antworten: 4
Zugriffe: 3951

Re: Was ist der Unterschied zwischen zuweisung und instialisierung?

in 99% der Fälle: Initialisierung = Deklaration + Zuweisung int main() { int xy[2] = { 1, 2 }; ... } und int main() { int xy[2]; xy[0] = 1; xy[1] = 2; ... } erzeugt (zumindest bei allen mir bekannten Compilern) 100% den exakt identischen Code. Für das Programm spielt es keine Rolle, ob man die Dekla...
von mfro
Fr Aug 30, 2019 5:32 pm
Forum: Sonstige Programmiersprachen
Thema: Fibonacci-Folge / Benchmarks
Antworten: 44
Zugriffe: 94722

Re: Fibonacci-Folge / Benchmarks

Was gibt da für Erfahrungen? Bist Du da losgezogen, hast Dir ein Board gekauft und dann damit gearbeitet oder ist das alles simuliert? Und ich sehe vor allem kleine Mainboards mit zig Anschlüssen. Für mich stellt sich gerade die Frage, ob man gewisse rechenintensive Algorithmen auf eine PCI-Karte a...
von mfro
Fr Aug 30, 2019 5:53 am
Forum: Sonstige Programmiersprachen
Thema: Fibonacci-Folge / Benchmarks
Antworten: 44
Zugriffe: 94722

Re: Fibonacci-Folge / Benchmarks

Ich stelle mir eine PCIe Karte vor, auf der dann rechenintensive Algorithmen ausgelagert werden. Das ist genau der Grund, warum Intel vor nicht allzu langer Zeit Altera (einen der beiden grössten Hersteller von FPGAs) gekauft hat. Die wollen wohl künftig Server-Boards mit integrierter, konfigurierb...
von mfro
Mo Aug 26, 2019 7:47 pm
Forum: Sonstige Programmiersprachen
Thema: Fibonacci-Folge / Benchmarks
Antworten: 44
Zugriffe: 94722

Re: Fibonacci-Folge / Benchmarks

Dazu hättei ich zwei Fragen. Erstens: Womit simulierst Du und zweitens: Wie kommt das in die Hardware? Simuliert wird das im Simulator ;). Gibt es - im Gegensatz zu den Synthesetools, die immer vom jeweiligen Hardwarehersteller kommen - auch (und zwar in einer Qualität, die sich absolut nicht verst...
von mfro
Mo Aug 26, 2019 7:23 pm
Forum: Sonstige Programmiersprachen
Thema: Fibonacci-Folge / Benchmarks
Antworten: 44
Zugriffe: 94722

Re: Fibonacci-Folge / Benchmarks

Das läuft durch, braucht aber bei einer Rekursionstiefe (MAX_RECURSION_DEPTH) von 21 für die Synthese bereits > 32 GB Hauptspeicher. Das Ergebnis ist ein Stück "Fibonacci-Hardware", das tatsächlich alle 20 ns (50 MHz) eine neue (durch die Beschränkung allerdings maximal 19 Bit lange) Fibonacci-Zahl...
von mfro
So Aug 25, 2019 10:07 am
Forum: Sonstige Programmiersprachen
Thema: Fibonacci-Folge / Benchmarks
Antworten: 44
Zugriffe: 94722

Re: Fibonacci-Folge / Benchmarks

... zum Thema Fibonacci-Folgen auf exotisch: wie wär's mit einer Implementierung in Hardware? Ich hab es mal versucht in mein IC Tool zu importieren, um daraus mal ein Layout zu basteln. Aber der import schlägt fehl, weil es anscheinend nicht implementierbar ist. Wenn ich lust und Zeit finde könnte...